Sök:

FIFO-kostruktion baserat på ett enkel-ports SRAM


Vid implementeringar av FIFO-arkitekturer har asynkrona FIFO-konstruktioner använts. Denna lösningsmetod har visat sig innehålla en del brister vid tillämpning på höghastighets system, vilket ledde till att synkrona FIFOn började ersätta asynkrona FIFOn. Den synkrona arkitekturen har samma funktonalitet som de asynkrona typerna med fördelar som högre hastighet och enklare gränssnitt. I rapporten har olika FIFO-konstruktioner behandlats och jämförelser har gjorts mellan synkrona och asynkrona arkitekturer. Det vid ISY konstruerade SRAM-minnet har sedan avgjort vilken typ av FIFO-arkitektur som varit bäst lämpad för implementering. Det implementerade FIFO-minnet ordnar indata- och utdataflöden till ett enkelports SRAM-minne på 256 ord med 16 bitar per ord.

Författare

Yusuf Duman

Lärosäte och institution

Linköpings universitet/Institutionen för systemteknik

Nivå:

"Uppsats för yrkesexamina på grundnivå". Självständigt arbete (examensarbete)om minst 15 högskolepoäng utfört för att erhålla yrkesexamen på grundnivå.

Läs mer..