Sök:

Sökresultat:

60 Uppsatser om FPGA - Sida 2 av 4

GALS,Design och simulering för FPGA med VHDL

Heat, clock scew and frequency optimization are some of the problems a semiconductor designer must face. By splitting a synchrounous block into multiple pieces which comunicates asynchronously with eachother and provide them with independent clocks, these problems may be reduced. GALS (Global Asynchronous Local Synchronous) is a wrapper that wraps a synchronous block and provides it with a clock. Multiple GALS-elements will make the whole system. The clockfrequency may be independently adjusted between each block.

Portning och utökning av processor för ASIC och FPGA

In this master thesis, the possibilities of customizing a low-cost microprocessor with the purpose of replacing an existing microprocessor solution are investigated. A brief survey of suitable processors is carried out wherein a replacement is chosen. The replacement processor is then analyzed and extended with accelerators in order to match set requirements.The result is a port of the processor Lattice Mico32 for the FPGA curcuit Xilinx Virtex-5 which replaces an earlier solution using Xilinx MicroBlaze. To reach the set requirements, accelerators for floating point arithmetics and FIR filtering have been developed. The toolchain for the processor has been modified to support the addition of accelerated floating point arithmetics.A final evaluation of the presented solution shows that it fulfills the set requirements and constitutes a functional replacement for the previous solution..

Sammanvägning av diversitetssignaler med FPGA

Genom samtal med radioamatörer visade det sig att det fanns ett intresse för att använda rumsdiversitet på deras mottagare då de upplevde fädning (vid körning med bil) som ett problem för hörbarheten. I ett system där mottagaren är stationär och sändaren mobil kommer den mottagna signalen att fäda ibland då radiovågorna tar olika vägar till mottagaren och ibland förstärker och ibland stör varandra. Tanken med detta examensarbete var att kunna ta emot två bandbegränsade audiosignaler från två mottagare med varsin antenn som tar emot samma signal (rumsdiversitet) och vikta ihop dessa med lämplig metod för att få ut en bättre signal. Om man kunde implementera ett diversitetssystem med VHDL i en FPGA så skulle man få ett system som var både billigt och flexibelt. I det här examensarbetet har jag försökt att konstruera ett sådant system..

Jämförelse av VGA-lösningar till NIOS2-system i SOPC Builder och QSYS med Altera University Program IP-Cores

FPGA-kort är ett bra verktyg för företag som snabbt vill kunna ta fram en prototyp för nya projekt, då de är omprogrammeringsbara så att samma hårdvara kan användas för att göra prototyper till mänger av olika system. Ett vanligt programmeringsspråk för att programmera FPGA-kort är VHDL som är ett hårdvarunära språk. Som ett komplement till VHDL är det väldigt användbart att kunna köra något mer generellt programspråk som till exempel C. Detta går att lösa genom att man använder en NIOS2-kärna i FPGA-kretsen och överför kompilerad C-kod till den från en persondator.Denna rapport kommer att beskriva hur man på ett Altera DE2 FPGA-kort kan implementera olika lösningar för att använda externa gränssnitt till en NIOS2?kärna.

Prestandajämförelse mellan mjuk och hård FPGA-processorkärna

 Examensarbetsuppgiften har gått ut på att genomföra en prestandajämförelse mellan en hård och en mjuk processorkärna integrerad i en FPGA, i detta fall, en Virtex4 FX12 från Xilinx.System med de olika kärnorna har tagits fram, där antalet klockcykler för att genomföra olika beräkningar har mäts. Bland annat har algoritmen Fast Fourier Transform och dess invers beräknats för en vektor.De kärnor som har provats är den mjuka MicroBlaze framtagen av Xilinx samt den hårda PowerPC 405. Prestandan för systemet med mjuk kärna var 65 % av det med hård kärnaFörutom prestandamätningarna har en vidare teoretisk jämförelse mellan kärnorna genomförts. Utifrån den har slutsatsen dragits att när man behöver små volymer av FPGA-kretsar eller flera olika beräkningar skall göras är FPGAer med hård kärna att föredra. Om det är större volymer eller bara ett fåtal typer av beräkningar som skall utföras är en mjuk kärna mest fördelaktig, främst av ekonomiska skäl.

VHDL-implementering av drivkrets för en alfanumerisk display

Allting började med att jag fick i uppdrag av Euromaint Industry i Skövde att konstruera en alfanumerisk display i syfte att ersätta en utgången display som inte längre nytillverkas. Jag fick i uppdrag att välja ut en modern, lämplig grafisk display och bygga ett interface mellan den nya displayen och den industriella maskin som displayen skall sitta på. Efter att ha letat hos någraelektronikleverantörer kom jag fram till att en TFT-skärm från det japanska företaget Kyocera var den som passade bäst. Skärmen hade ett VGA-liknandeinterface och min uppgift blev att sätta mig in i hur VGA fungerar. Efter att ha konstaterat att det krävdes en snabbare krets än en microcontroller för att använda VGA, var det endast en programmerbar logikkrets, en FPGA, som gällde.

Synchronous Latency Insensitive Design in FPGA

A design methodology to mitigate timing problems due to long wire delays is proposed. The timing problems are taking care of at architecture level instead of layout level in this design method so that no change is needed when the whole design goes to backend design. Hence design iterations are avoided by using this design methodology. The proposed design method is based on STARI architecture, and a novel initialization mechanism is proposed in this paper. Low frequency global clock is used to synchronize the communication and PLLs are used to provide high frequency working clocks.

Mätutrustning för kosmisk strålning

The purpose with this examination is to build a measureequipment to AerotechTelub AB (AT), who will registrate fault in SRAM-memory in contact with cosmic radiation. The equipment will be created around developcard from Memec Design with FPGA from Xilinx. The logic in the FPGA will be implemented with the hardwaredescribed language VHDL. The SRAM-memory that will be tested is build in CMOS-teknologi. The memorycells will be loaded with a predecided bitpattern.

Design av FPGA-baserad PCM-till-PWM-modulator för klass D-audioförstärkare

 I detta examensarbete har metoder för design av en FPGA-baserad PCM-till-PWM-modulator för klass D-audioförstärkare testats och utvärderats. Rapporten diskuterar med stöd av matematisk analys och simuleringar interpoleringsmetoder, pulsbreddsmodulering, samplingsprocesser och sigma-delta-modulatorer. Den föreslagna designen bygger på uppsampling, förkompensering, brusformning och pulsbreddsmodulering. Designens prestanda har verifierats genom simulering av modell och implementering i hårdvara. .

USB-kommunikation med programmerbar ultraljudssändare

Inom medicinsk materialteknik utvecklar man injicerbara ben-cement, att användas för att fylla och reparera defekter med minimala kirurgiska ingrepp. Härdningstiden bestämmer hur länge man kan arbeta med materialet, och när man kan sy ihop såret utan risk för medicinska komplikationer. Det är därför av stor vikt att man kan bestämma denna tid så exakt som möjligt. En metod baserad på ultraljud håller på att tas fram för detta ändamål. En tillförlitlig mätmetod skulle vara till omedelbar hjälp både för medicinsk personal och de som utvecklar materialen, vilket skulle kunna innebära kortare operationstider för patienterna.

FPGA för displayhantering och grafikgenerering i fordonsmiljö

This report is part of the major thesis carried out at Stoneridge Electronics in Bromma,Stockholm during autumn and winter 2005-2006. The background to the thesis is the trendwithin the automotive industry where color displays have become more common for presentationof information to the driver, a trend that has come to the commercial vehicle market. Thepurpose of the thesis has been to evaluate whether programmable logic, with respect to FPGAtechnology, could be suitable for display control and graphics generation of these more complexcolor displays in automotive environment.Within the scope of the thesis the FPGA technology has been investigated, i.e. whatopportunities and threats there are with aspect to environmental and security requirements. Inaddition, the market of FPGAs and pre-built IP-cores has been investigated.

FPGA-baserad multisignalgenerering med adaptiv Crestfaktor

Detta examensarbetet undersöker möjligheten att eliminera de amplitudtoppar som uppstår då flera sinussignaler av slumpmässiga frekvenser adderas. Det som eftersträvas är en signal innehållande flera godtyckliga frekvenser samtidigt som den bibehåller en låg Crestfaktor (eller PAPR). De metoder som tas fram implementeras sedan i en FPGA. Rapporten behandlar de metoder som undersökts både i teoretiska utvärderingar samt i en hårdvaruimplementation. Resultaten visar på att det inte finns någon enkel lösning på problemet, men att en kombination av metoder kan användas för att få en förbättring av signalens Crestfaktor.

1553-Simulator. In-/uppspelning av databusstrafik med hjälp av FPGA

At Saab Aerospace in Linköping, components for measurement systems to the fighter aircraft JAS 39 Gripen are developed. In this activity you sometimes want to record the traffic transmitted on the data busses that connects different sys-tems. This traffic on the data busses is using the military standard MIL-STD-1553. This project has aimed to create a system for recording and sending 1553-data. The system is used on an ordinary personal computer, equipped with a recon- figurable I/O card that among others has a programmable logic circuit (FPGA).

CMOS bildsensor och Cyclone I I Kameramodul till DE2

Detta dokument beskriver hur man kan använda kameramodulen TRDB DC2 från Terasic tillsammans med ett utvecklingskort DE2 för Alteras FPGA-kretsar. Kamerabilder överförs från kameramodulen till en VGA-skärm. VGA-bilden har en upplösning på 640 x 480 pixlar och 10bitars upplösning på färgerna. Systemet presterar maximalt 15 bilder per sekund och det är själva bildsensorn som sätter den begränsningen, man kan bla ändra exponeringstid och frysa bilden om man så vill. Hela projektet är skrivet i VHDL och arbetet är gjort i Quartus 6.0 från Altera.

Utveckling av produktprototyp för hårdvaruaccelererad bildbehandling

I dagens samhälle finns inbyggda system i allt från vattenkokare till rymdraketer. För att möta användarnas ständigt ökande krav på prestanda och funktionalitet måste hårdvaran i dessa system utnyttjas optimalt. Detta kan göras genom att konstruera hårdvara specifikt för den aktuella uppgiften eller att använda en mer generell hårdvara, där istället mjukvaran är anpassningsbar. I många fall kan det vara lämpligt, och i vissa fall även nödvändigt, att blanda dessa metoder för att lösa en given uppgift. En kraftfull processor kan exempelvis kompletteras med en accelerator uppbyggd av specifik hårdvara.

<- Föregående sida 2 Nästa sida ->